It is currently Thu Apr 18, 2024 12:09 pm
-
- Topics
- Posts
- Last post
-
-
Digital Design
All queries related to number system, flip-flops, logic designs and CMOS based digital circuits. - 28 Topics
- 42 Posts
-
Last post
Re: What is difference betwee…
by Veena View the latest post
-
-
-
Physical Design (PD)
All question related to floorplanning, power planning, placement, clock tree synthesis (CTS), routing, DRC cleaning, LVS, power, IR drop, Electromigration (EM), ESD, package. - 31 Topics
- 66 Posts
-
Last post
Re: Metal Layers in the chip
by nithin View the latest post
-
-
-
Synthesis & Timing
Synthesis, timing closure, fixing setup & hold, constraints related questions can be asked here. - 13 Topics
- 27 Posts
-
Last post
Different Timing terminologies
by RENU View the latest post
-
-
-
Design For Testing (DFT)
SCAN, Boundary SCAN, MBIST, ATPG, JTAG, ATE, DFT simulations. - 22 Topics
- 46 Posts
-
Last post
What is Manufacturing test an…
by TechEnhusiast View the latest post
-
-
-
Frontend Architecture & Verification
Post questions related to VHDL, Verilog, System Verilog, Architecture & Verification in this section. - 9 Topics
- 13 Posts
-
Last post
architecture vs micro-archite…
by Amit View the latest post
-
-
-
Analog and Mixed Signal (AMS) Design
Analog and Mixed Signal (AMS) Design. - 3 Topics
- 5 Posts
-
Last post
Monte Carlo Simulation
by penguin View the latest post
-
-
-
Embedded Systems
Post related to Microprocessor, Microcontroller & real time system. - 3 Topics
- 11 Posts
-
Last post
Re: What is the Difference be…
by RichaAgarwal View the latest post
-
-
-
Interview Material
Post documents related to VLSI Design Interview Questions, Physical Design Interview Questions here. - 9 Topics
- 16 Posts
-
Last post
General knowledge MCQ
by gkindiaonline View the latest post
-
-
-
Job info
Place job links and related material here. - 44 Topics
- 54 Posts
-
Last post
Regular Position at Applied M…
by Pardeep Kumar View the latest post
-
-
- Topics
- Posts
- Last post
-
-
Device Physics
Device Physics doubts related to diode, transistor, BJT, MOSFET, JFET. - 21 Topics
- 38 Posts
-
Last post
Re: NMOS is GOOD '0' AND BAD …
by Narveer View the latest post
-
-
-
IC Fabrication Technology
All Fab related questions. - 8 Topics
- 18 Posts
-
Last post
Re: Why silicon is used for t…
by bprasad2005 View the latest post
-
-
-
MicroElectroMechanical Systems (MEMS) & Nanotechnology
Questions, research paper related to MicroelectroMechanical systems (MEMS) & Nanotechnology. - 3 Topics
- 3 Posts
-
Last post
Cool Electron to -228 Degrees…
by Pardeep Kumar View the latest post
-
-
- Topics
- Posts
- Last post
-
-
General Computer
General forum to discuss all aspects of problems with computers hardware and software. Operating systems (linux, windows), security, storage, data recovery, databases. - 5 Topics
- 19 Posts
-
Last post
Intel's Core Architecture Det…
by Pardeep Kumar View the latest post
-
-
-
C and C++
Posts related to C/C++ queries. - 4 Topics
- 6 Posts
-
Last post
Salesforce training institute…
by anjupadhan View the latest post
-
-
-
Linux Basics and Script Programming (UNIX, TCL, Perl, Python)
Post all your scripts here. - 7 Topics
- 10 Posts
-
Last post
Basic Tutorials and Sample Sc…
by Pardeep Kumar View the latest post
-
-
-
Downloads (Software/Tools)
Post downloads here. Please don't upload/request for crack version. - 4 Topics
- 8 Posts
-
Last post
Re: Fedora electronic lab-VLS…
by deepak.dhiman55 View the latest post
-
-
-
Useful Links
Please post useful links here alongwith brief description. - 8 Topics
- 11 Posts
-
Last post
Re: Commonly Used Links
by Pardeep Kumar View the latest post
-
-
-
Project Ideas/Discussion
Posts related to your B.E/B.Tech/M.E/M.Tech projects. - 1 Topics
- 1 Posts
-
Last post
Creation of Malicious Node in…
by Harjinder View the latest post
-
-
-
About eVLSI.com
Post all your suggestions to improve this discussion forum. Any other query related to eVLSI.com - 1 Topics
- 3 Posts
-
Last post
Re: Hi Admin,Uploading option…
by deepak.dhiman55 View the latest post
-
-
-
Miscellaneous
Post your topics here if you could not find suitable match for your post under other categories. - 14 Topics
- 16 Posts
-
Last post
Laptop with 64GB RAM, 5TB Har…
by Pardeep Kumar View the latest post
-
-
-
Quest Corner
QUEST IS A discussion group for those who have a quest to get the answers of why's & How's in life. - 20 Topics
- 23 Posts
-
Last post
quest forum
by bprasad2005 View the latest post
-
-
-
Trashcan
Post from warned members, deleted post. All topics will be deleted after 14 days. - 0 Topics
- 0 Posts
- No posts
-
Who is online
In total there are 197 users online :: 0 registered, 0 hidden and 197 guests (based on users active over the past 5 minutes)
Most users ever online was 598 on Sun May 03, 2020 10:56 pm
Statistics
Total posts 442 • Total topics 265 • Total members 695 • Our newest member Raksh