Write a Verilog code for synchronous and asynchronous reset?

Post questions related to VHDL, Verilog, System Verilog, Architecture & Verification in this section.
Post Reply
Arvind
Posts: 45
Joined: Wed Apr 09, 2014 3:11 pm
Location: Noida, India

Write a Verilog code for synchronous and asynchronous reset?

Post by Arvind »

Write a Verilog code for synchronous and asynchronous reset?
Post Reply