Page 1 of 1

Write a Verilog code for synchronous and asynchronous reset?

Posted: Wed Apr 09, 2014 8:38 pm
by Arvind
Write a Verilog code for synchronous and asynchronous reset?