Page 1 of 1

Crosstalk effect & its impact on timing

Posted: Sun Apr 13, 2014 1:43 pm
by Vijay
What is crosstalk? What is the effect of crosstalk on timing? How to minimize crosstalk?

Re: Crosstalk effect & its impact on timing

Posted: Sun Apr 13, 2014 1:58 pm
by Narveer
Crosstalk is phenomenon in which switching on a signal net effects switching activity of a nearby net due to capacitive coupling between two nets. Due to process-technology scaling, the spacing between adjacent interconnect wires keeps shrinking, which leads to an increase in the amount of coupling capacitance between interconnect wires. Hence, increase in the crosstalk effect. Crosstalk can severely effect timing in VLSI circuits. Cross-talk has two effects.

1.Crosstalk delay 2. Crosstalk noise
If if two adjacent wires are switching in opposite direction it will slow down signal hence violating set up time. If two net are switching in same direction it will aid timing. This is called crosstalk delay.This is random phenomenon. It depends on switching.
If one net is switching and other is at constant value. The switching one net can cause induce voltage spike on other net. This is called crosstalk noise.

Methods for minimizing crosstalk:
1. Shielding of victim net by VDD/VSS line.
2. Upsize driver of victim net or downsize driver of aggressor net.
3. Add buffer on victim net.
4. Increase spacing between two nets.

Re: Crosstalk effect & its impact on timing

Posted: Wed Apr 08, 2015 12:29 pm
by vikramrajput
Can you please explain what is shielding and up sizing / down sizing techniques ?

Re: Crosstalk effect & its impact on timing

Posted: Mon Feb 22, 2016 3:14 pm
by karthik.venkata2020
Hi Vikram,

Am adding some points to your question.

Shielding:
Shielding is a process of Separating Aggressor and Victim Nets with VSS (In general gnd net) net placing in between two crosstalk nets.
Thereby we are guarding Victim net with VSS from the High Switching activity of Aggressor Net. There by Reducing the Coupling capactiance
effect between Aggressor and victim which inturn reduces cross talk.


Up Sizing /Down Sizing:

In general every Logic Gate will have some drive strength in Design.
for suppose take a buffer of drive strength of 4. Typical Name convention as (hdbfxss4ul)
Here digit 4 in above naming pattern indicates its drive strength and ul represents its Vt flavour.

Now changing the drive strength from 4 to a higher value like 8 (hdbfxss8ul) on victim driver, will drive the net good to overcome the crosstalk effect.
Else changing the drive strength from 4 to a lower value like 2 (hdbfxss2ul) on Aggressor driver, will reduce the switching activity on Agressor net and will have less impact of switching on victim net.


Regards,
G.V.K