Page 1 of 1

why do we need virtual clock

Posted: Sat May 10, 2014 10:48 pm
by Netrapal
what is meant by virtual clock definition and why do we need it?

Re: why do we need virtual clock

Posted: Tue May 13, 2014 5:04 pm
by arunvappukuttan
Virtual clock is a clock definition without a specific port or pin assigned to the definition . It is used for constraining input or output delays for a block . The advantage of defining a virtual clock is that we can specify our desired clock latency values for these virtual clock. Had this not been the case , the clock latency defined for the "real" clock to model the top level latency will be applied for the clock itself and hence all the registers to which the clock is reaching.

Re: why do we need virtual clock

Posted: Tue May 13, 2014 6:30 pm
by arushi
There are three advantages of having the virtual clocks
1) Applying the clock latency as explained above
2) In case of the hierarchical designs , if a particular clock does not exist in a block , virtual clock of the same can be used to constrain the I/O ports.
3) It also helps in timing the half cycle and full cycle paths of the same clock.

Re: why do we need virtual clock

Posted: Wed May 14, 2014 2:27 pm
by Netrapal
Thanks Arun & Aru. :)